web-dev-qa-db-ja.com

VHDL:定数での16進値の使用

私はVHDLの初心者で、いくつかの定数を作成して16進数を割り当てようとしていますが、エラーが発生し続けます。

定数FOO_CONST0x38に等しくしたい

このような...

constant FOO_CONST : integer := x"38";

エラー:

Type integer does not match with a string literal

いくつかのバリエーションを試しましたが、成功しませんでした。

どんな助けでもありがたいです。ありがとう!

-マイク

12
mike65535

base#value#の形式を使用して、整数の基数を指定できます。

constant FOO_CONST : integer := 16#38#;
17