web-dev-qa-db-ja.com

ModelSim-アルテラエラー

私はUbuntuLinux 14.04LTSとAlteraQuartus 15.0 Webエディションを使用していますが、ライセンスエラーのためにデザインをシミュレートするのに苦労しています。 VEEK-MT 's LCD touch screen by terasic with Cyclone IV EP4CE115 byAltera。のLCD_driverを設計しています。

正直なところ、ModelSim-Alteraのようなシミュレーションソフトウェアの経験はあまりありませんが、使用方法は知っています。vwfファイルを使用してシミュレートします。signaltapロジックアナライザーの使用方法も知っています。 usinversityプログラム.vwfファイルを作成した後、プロジェクトをコンパイルし、を押します。機能シミュレーションを実行すると、次の内容のウィンドウが表示されます。

ModelSim実行可能ファイルの場所を決定する...

使用:/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin

ModelSim実行可能ディレクトリを指定するには、[ツール]-> [オプション]-> [EDAツールオプション]を選択します。注:ModelSim-AlteraとModelSimの両方の実行可能ファイルが使用可能な場合は、ModelSim-Alteraが使用されます。

**** ModelSimテストベンチの生成****

quartus_eda --gen_testbench --check_outputs = on --tool = modelsim_oem --format = verilog --write_settings_files = off test5 -c test5 --vector_source = "/ path/to/Altera/projects/test/5/test5.vwf" --testbench_file = "/ path/to/Altera/projects/test/5/Simulation/qsim/test5.vwf.vt"

Ld.soによって検出された不整合:dl-close.c:762:_dl_close:アサーション `map-> l_init_called 'が失敗しました!情報:************************************************ *******************情報:Quartus II 64ビットEDAネットリストライター情報の実行:バージョン15.0.0ビルド145 2015年4月22日SJWebエディション情報:著作権( C)1991-2015アルテラコーポレーション。全著作権所有。情報:アルテラコーポレーションの設計ツール、ロジック機能情報:およびその他のソフトウェアとツール、およびそのAMPPパートナーロジック情報:機能、および前述の情報のいずれかからの出力ファイル(デバイスプログラミングまたはシミュレーションファイルを含む)、および情報:関連するドキュメントまたは情報は、明示的に対象となります。情報:アルテラプログラムライセンス情報:サブスクリプション契約、アルテラクォータスIIライセンス契約、
情報:アルテラMegaCore機能使用許諾契約書またはその他
情報:該当するライセンス契約。これには、これに限定されませんが、
情報:あなたの使用はプログラミングロジックの唯一の目的であるということ
情報:アルテラが製造し、アルテラまたはその
情報:正規販売代理店。該当するものを参照してください
情報:詳細については合意。情報:処理開始:Sun Aug 9 22:18:46 2015情報:コマンド:quartus_eda --gen_testbench --check_outputs = on --tool = modelsim_oem --format = verilog --write_settings_files = off test5 -c test5 --vector_source =/path/to/Altera/projects/test/5/test5.vwf --testbench_file =/path/to/Altera/projects/test/5/Simulation/qsim/test5.vwf.vtWarning(201007):ポートが見つかりませんdesignWarning(201007)で「h_counter」が見つかりません:designWarning(201007)でポート「h_counter [10]」が見つかりません:designWarning(201007)でポート「h_counter [9]」が見つかりません:ポート「h_counter」が見つかりません[8] "in designWarning(201007):ポート" h_counter [7] "がdesignWarning(201007)に見つかりません:ポート" h_counter [6] "がdesignWarning(201007)に見つかりません:ポート"が見つかりません " designWarning(201007)でh_counter [5] ":designWarning(201007)でポート" h_counter [4] "が見つかりません:designWarning(201007)でポート" h_counter [3] "が見つかりません:ポートが見つかりませんdesignWarning(201007)の「h_counter [2]」:designWarning(201007)のポート「h_counter [1]」が見つかりません:ポート「h_co」が見つかりませんdesignWarning(201007)でunter [0] ":designWarning(201007)でポート" v_counter "が見つかりません:designWarning(201007)でポート" v_counter [9] "が見つかりません:ポート" v_counter [が見つかりません8] "in designWarning(201007):ポート" v_counter [7] "がdesignWarning(201007)に見つかりません:ポート" v_counter [6] "がdesignWarning(201007)に見つかりません:ポート" v_counterが見つかりません[5] "in designWarning(201007):ポート" v_counter [4] "がdesignWarning(201007)に見つかりません:ポート" v_counter [3] "がdesignWarning(201007)に見つかりません:ポート"が見つかりません " designWarning(201007)でv_counter [2] ":designWarning(201007)でポート" v_counter [1] "が見つかりません:designWarning(201007)でポート" v_counter [0] "が見つかりません:ポートが見つかりませんdesignWarning(201007)の「HSD_s」:designInfo(201000)でポート「VSD_s」が見つかりません:生成されたVerilogテストベンチファイル/path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt SimulationInfoの場合:Quartus II64ビットEDAネットリストライターは成功しました。エラー0、警告25情報:ピーク仮想メモリ:1088メガバイト情報:処理が終了しました:Sun Aug 9 22:18:47 2015情報:経過時間:00:00:01情報:合計CPU時間(すべてのプロセッサ):00: 00:01正常に完了しました。

正常に完了しました。

****機能シミュレーションネットリストの生成****

quartus_eda --write_settings_files = off --functional = on --flatten_buses = off --simulation --tool = modelsim_oem --format = verilog --output_directory = "/ path/to/Altera/projects/test/5/Simulation/qsim/"test5 -c test5

Ld.soによって検出された不整合:dl-close.c:762:_dl_close:アサーション `map-> l_init_called 'が失敗しました!情報:************************************************ *******************情報:Quartus II 64ビットEDAネットリストライター情報の実行:バージョン15.0.0ビルド145 2015年4月22日SJWebエディション情報:著作権( C)1991-2015アルテラコーポレーション。全著作権所有。情報:アルテラコーポレーションの設計ツール、ロジック機能情報:およびその他のソフトウェアとツール、およびそのAMPPパートナーロジック情報:機能、および前述の情報のいずれかからの出力ファイル(デバイスプログラミングまたはシミュレーションファイルを含む)、および情報:関連するドキュメントまたは情報は、明示的に対象となります。情報:アルテラプログラムライセンス情報:サブスクリプション契約、アルテラクォータスIIライセンス契約、
情報:アルテラMegaCore機能使用許諾契約書またはその他
情報:該当するライセンス契約。これには、これに限定されませんが、
情報:あなたの使用はプログラミングロジックの唯一の目的であるということ
情報:アルテラが製造し、アルテラまたはその
情報:正規販売代理店。該当するものを参照してください
情報:詳細については合意。情報:処理が開始されました:Sun Aug 9 22:18:53 2015情報:コマンド:quartus_eda --write_settings_files = off --functional = on --flatten_buses = off --simulation = on --tool = modelsim_oem --format = verilog- output_directory =/path/to/Altera/projects/test/5/Simulation/qsim/test5 -c test5Info(204019):フォルダー "/ path/to/Altera/projects/test/5/Simulation /にファイルtest5.voを生成しましたEDAシミュレーションツールのqsim // "情報:Quartus II64ビットEDAネットリストライターが成功しました。エラー0、警告0情報:ピーク仮想メモリ:1093メガバイト情報:処理が終了しました:Sun Aug 9 22:18:55 2015情報:経過時間:00:00:02情報:合計CPU時間(すべてのプロセッサ):00: 00:01正常に完了しました。

**** ModelSim.doスクリプトの生成****

/path/to/Altera/projects/test/5/simulation/qsim/test5.doが生成されました。

正常に完了しました。

**** ModelSimシミュレーションの実行****

/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/vsim -c -do test5.do

/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/../linux/vish:共有ライブラリのロード中にエラーが発生しました:libXft.so.2:共有オブジェクトファイルを開くことができません:そのようなファイルまたはディレクトリがありませんエラー。

どんな助けでも大歓迎です。

8
Doron Behar

ユーレカ!私は次のテキストをグーグルで検索しました:error while loading shared libraries: libXft.so.2: cannot open shared object file: No such file or directory Error. ModelSim-Alteraソフトウェアが使用する64ビットOS用に32ビットパッケージをインストールする必要があることがわかりました( Qi にも感謝します)。 Ubuntuターミナルに入力するための適切なコマンドは次のとおりです。

Sudo apt-get install libxft2 libxft2:i386 lib32ncurses5

そして問題は解決しました!

20
Doron Behar