web-dev-qa-db-ja.com

Mac OSXでのVHDL /デジタルロジックシミュレーションに使用するもの

Mac OSXにはAlteraQuartus、ザイリンクスISE、ModelSimがないことに突然気づきました。

Macで少なくともVHDLと回路図のデザインをシミュレートするために人々は何を使用しますか?

13

[〜#〜] ghdl [〜#〜] (代替リンク: ghdl.free.fr )を試してください。

サイトから:

GHDLは、VHDL言語用のオープンソースシミュレータです。 GHDLを使用すると、VHDLコードをPCで直接コンパイルして実行できます。 GUIベースのウェーブビューアと優れたVHDLテキストエディタを組み合わせたGHDLは、VHDLコードを記述、テスト、およびシミュレーションするための非常に強力なツールです。

GHDLは非常に人気のあるGNUコンパイラGCCに基づいており、Linux、Windows、およびApple OSXで動作します。OSまたはOSのバイナリディストリビューションを自由にダウンロードできます。自分のマシンでGHDLをコンパイルしてみてください。

6
sinelaw

デザイン入力には aquamacs を使用します。 verilogには、icarusとgtkwaveを使用します。

私はGHDLを試していません。ヘッドレスLinuxサーバーを VirtualBox 経由で実行し、modelsimをssh経由でX11転送で実行しています。これはISEとQuartusでも機能します。

MacでのX11転送の場合、構成でtrustedをオンにする必要があることに注意してください

X11Forwarding     yes
ForwardX11Trusted yes

またはコマンドラインで

ssh -Y

乗り方を教えてください。

3
George

ほとんどの人が使用しているのはParallels(または別の仮想化ツール)で、MacのParallelsでModelSimを使用できます。シミュレーターを実行している会社のLinuxサーバーにSSHで接続する人もいます。

もう1つの興味深い方法は、 www.plunify.com です。これは、クラウドでシミュレーションを実行し、結果をメールで送信するサービスです。

最後に、Mac OS Xでネイティブに実行される商用VHDLシミュレータがあると聞きました: DirectVHDL 。制限はありますが、始めるには十分かもしれません。

2
Philippe