web-dev-qa-db-ja.com

Pycharm終了コード0

コードを実行するたびに、コンソールは常にProcess finished with exit code 0

たとえば、単にprint( "hellow")とすると:

pydev debugger: process 21021 is connecting

Connected to pydev debugger (build 131.618)
hellow

Process finished with exit code 0

出力を「こんにちは」にする方法はありますか?

24
goodcow

あなたはそれが出力の一部ではないことに気付きましたか? IDEのコンソールによって提供される追加情報にすぎません。実際のプログラムは、期待どおりhellowを出力しています。

Process finished with exit code 0は、すべてが正常に機能したことを意味します。プログラムで例外が発生した場合、またはexitがゼロ以外の引数で生成された場合、IDEがこれについて通知します。これは有用なデバッグ情報です。

あなたはPythonからのプログラムをIDEの実稼働中に実行することになっているので、それを削除しようとしても意味がないと思います。

44
Paulo Bu